Alpha 21464

Vikipedi, özgür ansiklopedi

Alpha 21464, Digital Equipment Corporation ve daha sonra Digital'i satın aldıktan sonra Compaq tarafından geliştirilen Alpha komut seti mimarisini (ISA) uygulayan tamamlanmamış bir mikroişlemcidir. Mikroişlemci EV8 (kod adı Araña) olarak da biliniyordu. 2004 yılında piyasaya sürülmesi planlanan bu mikroişlemci, 25 Haziran 2001 tarihinde Compaq'ın Alpha'nın 2004 yılına kadar Itanium lehine aşamalı olarak kaldırılacağını duyurmasıyla iptal edildi. İptal edildiğinde, Alpha 21464 geliştirmenin geç bir aşamasındaydı ancak banttan çıkarılmamıştı.[1][2]

21464'ün kökeni 1990'ların ortalarında bilgisayar bilimcisi Joel Emer'in Dean Tullsen'in Washington Üniversitesi'nde eşzamanlı çoklu iş parçacığı (SMT) üzerine yaptığı araştırmadan esinlenmesiyle başladı. Emer 1990'ların sonunda bu teknolojiyi araştırmış ve değerine ikna olduktan sonra tanıtmaya başlamıştı. Compaq, bir sonraki Alpha mikroişlemcisinin SMT kullanacağını Ekim 1999'da Microprocessor Forum 1999'da duyurdu.[3] O dönemde Alpha 21464 kullanan sistemlerin 2003 yılında piyasaya sürülmesi bekleniyordu.[3]

Tanım[değiştir | kaynağı değiştir]

Mikroişlemci, sıra dışı yürütme, dört yönlü SMT ve derin bir boru hattına sahip sekiz sorunlu bir süper skaler tasarımdı. 64 KB'lık iki yönlü set-associative komut önbelleğinden 16 talimat getirmektedir. Dallanma tahmincisi daha sonra "iyi" talimatları seçer ve bunları bir daraltma tamponuna girer. (Bu, alınan dallanma yoğunluğuna bağlı olarak döngü başına 16 komuta kadar bir getirme bant genişliğine izin verdi). Ön uç, önceki Alpha uygulamasından önemli ölçüde daha fazla aşamaya sahipti ve sonuç olarak 21464, 14 döngü gibi önemli bir minimum dallanma yanlış tahmin cezasına sahipti.[4] Mikroişlemci bu maliyetli cezaları en aza indirmek için gelişmiş bir dallanma tahmin algoritması kullanmıştır.

SMT'nin uygulanması, program sayacı gibi belirli kaynakların çoğaltılmasını gerektirmiştir. Bir program sayacı yerine, her iş parçacığı için bir tane olmak üzere dört program sayacı vardı. Bununla birlikte, SMT desteği için ön uçtan sonra çok az mantığın genişletilmesi gerekiyordu. Kayıt dosyası 512 giriş içeriyordu, ancak boyutu SMT tarafından değil, uçuş sırasındaki talimatların maksimum sayısı tarafından belirleniyordu. Kayıt dosyasına erişim, devrenin fiziksel boyutu nedeniyle üç boru hattı aşaması gerektiriyordu. Her döngüde dört iş parçacığından sekiz adede kadar talimat sekiz tam sayı ve dört kayan noktalı yürütme birimine gönderilebiliyordu. 21464, çift portlamayı desteklemek için sekiz sıra halinde düzenlenmiş 64 KB'lık bir veri önbelleğine (Dcache) sahipti. Bu, 3 MB'lık, altı yollu set-associative birleşik ikincil önbellek (Scache) tarafından destekleniyordu.

Tam sayı yürütme birimi yeni bir yapıdan yararlanmıştır: kayıt önbelleği. Kayıt önbelleği (bazı raporlarda iddia edildiği gibi) üç tiklik kayıt dosyası gecikmesini azaltmak için değil, operand bypass yönetiminin karmaşıklığını azaltmak için kullanılıyordu. Kayıt önbelleği, önceki N döngü için ALU ve Yük boruları tarafından üretilen tüm sonuçları tutuyordu. (N, 8 gibi bir şeydi.) Kayıt önbellek yapısı, önceki işlemcilerin dağıtılmış mux olarak uyguladıkları yapının mimari olarak yeniden etiketlenmesiydi.

Sistem arayüzü Alpha 21364'ünkine benzerdi. On RDRAM kanalı sağlayan entegre bellek denetleyicileri vardı. Çoklu işlem, diğer 21464'lere bağlantı sağlayan bir yönlendirici ile kolaylaştırıldı ve mimari olarak tutkal mantığı olmadan 512 yönlü çoklu işlemeyi destekledi.

Yedi katmanlı bakır ara bağlantı, kısmen tükenmiş yalıtkan üzerine silikon (PD-SOI) ve düşük K dielektrik ile 0,125 μm (bazen 0,13 μm olarak anılır) tamamlayıcı metal-oksit-yarı iletken (CMOS) işleminde uygulanacaktı. Transistör sayısının 250 milyon ve kalıp boyutunun 420 mm2 olduğu tahmin edilmektedir.[2][5]

Tarantula[değiştir | kaynağı değiştir]

Tarantula, söz konusu Alpha mimarisinin bir uzantısı ve söz konusu uzantıyı uygulayan Alpha 21464'ün bir türevi için kod adıydı. Henüz geliştirme aşamasındayken, herhangi bir uygulama çalışması başlamadan ve 21464 tamamlanmadan iptal edildi. Uzantı Alpha'ya bir vektör işleme yeteneği kazandıracaktı. Otuz iki adet 64'e 128 bit (8,192 bit veya 1 KB) vektör kaydı, yaklaşık 50 vektör talimatı ve vektör kayıtlarına veri taşımak için belirtilmemiş sayıda talimat içeriyordu. Diğer EV8 takip adayları arasında iki EV8 çekirdeği ve 4.0 GHz çalışma frekansı olan çok çekirdekli bir tasarım vardı.[kaynak belirtilmeli]

Notlar[değiştir | kaynağı değiştir]

Kaynakça[değiştir | kaynağı değiştir]

  • Diefendorff, Keith (6 Aralık 1999). "Compaq Chooses SMT for Alpha: Simultaneous Multithreading Exploits Instruction- and Thread-Level Parallelism". Microprocessor Report. 13 (16). ISSN 0899-9341. 
  • Emer, Joel (1999). Simultaneous Multithreading: Multiplying Alpha Performance. Proceedings of Microprocessor Forum 1999. 
  • Espasa, R.; Ardanaz, F.; Emer, J.; Felix, S.; Gago, J.; Gramunt, R.; Hernandez, I.; Juan, T.; Lowney, G.; Mattina, M. (2002). "Tarantula: a vector extension to the alpha architecture". Proceedings of the 29th IEEE-ACM International Symposium on Computer Architecture. IEEE. ss. 281-292. doi:10.1109/ISCA.2002.1003586. ISBN 978-0-7695-1605-9. 
  • Preston, R.P.; Badeau, R.W.; Bailey, D.W.; Bell, S.L.; Biro, L.L.; Bowhill, W.J.; Dever, D.E.; Felix, S.; Gammack, R.; Germini, V. (2002). "Design of an 8-wide superscalar RISC microprocessor with simultaneous multithreading". 2002 IEEE International Solid-State Circuits Conference. ss. 266-500. doi:10.1109/ISSCC.2002.993068. ISBN 978-0-7803-7335-8. 
  • Seznec, A.; Felix, S.; Krishnan, V.; Sazeides, Y. (2002). "Design Tradeoffs for the Alpha EV8 Conditional Branch Predictor". Proceedings of the 29th IEEE-ACM International Symposium on Computer Architecture. IEEE. ss. 295-306. doi:10.1109/ISCA.2002.1003587. ISBN 978-0-7695-1605-9. 

Daha fazla okuma[değiştir | kaynağı değiştir]